createEqualFreqBins: Create bins by Equal Freq Binning

Description Usage Arguments Value

View source: R/binning.R

Description

Create bins by Equal Freq Binning

Usage

1
createEqualFreqBins(x_vec, nbin.max)

Arguments

x_vec

A reference integer or numeric or ordered vector to be binned.

nbin.max

An integer value which indicates the maximum counts of bins. Note that this function makes min(nbin.max, length(x_vec)) counts of bins.

Value

a numeric vector which indicates the boundaries of bins, with nbin elements.


kazuzowo/aglm-LVar documentation built on Dec. 10, 2019, 12:13 a.m.